#============================================================ # PIN ASSIGNMENT FOR E2 CAS LAB ONLY - INSERT IN .qsf FILE #============================================================ # CLOCK #============================================================ set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CLK_10 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK1_50 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MAX10_CLK2_50 set_location_assignment PIN_N5 -to ADC_CLK_10 set_location_assignment PIN_P11 -to MAX10_CLK1_50 set_location_assignment PIN_N14 -to MAX10_CLK2_50 #============================================================ # SEG7 #============================================================ set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[4] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[5] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[7] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[4] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[5] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[7] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[4] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[5] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[7] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[7] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[4] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[5] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[7] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[4] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[5] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[7] set_location_assignment PIN_C14 -to HEX0[0] set_location_assignment PIN_E15 -to HEX0[1] set_location_assignment PIN_C15 -to HEX0[2] set_location_assignment PIN_C16 -to HEX0[3] set_location_assignment PIN_E16 -to HEX0[4] set_location_assignment PIN_D17 -to HEX0[5] set_location_assignment PIN_C17 -to HEX0[6] set_location_assignment PIN_D15 -to HEX0[7] set_location_assignment PIN_C18 -to HEX1[0] set_location_assignment PIN_D18 -to HEX1[1] set_location_assignment PIN_E18 -to HEX1[2] set_location_assignment PIN_B16 -to HEX1[3] set_location_assignment PIN_A17 -to HEX1[4] set_location_assignment PIN_A18 -to HEX1[5] set_location_assignment PIN_B17 -to HEX1[6] set_location_assignment PIN_A16 -to HEX1[7] set_location_assignment PIN_B20 -to HEX2[0] set_location_assignment PIN_A20 -to HEX2[1] set_location_assignment PIN_B19 -to HEX2[2] set_location_assignment PIN_A21 -to HEX2[3] set_location_assignment PIN_B21 -to HEX2[4] set_location_assignment PIN_C22 -to HEX2[5] set_location_assignment PIN_B22 -to HEX2[6] set_location_assignment PIN_A19 -to HEX2[7] set_location_assignment PIN_F21 -to HEX3[0] set_location_assignment PIN_E22 -to HEX3[1] set_location_assignment PIN_E21 -to HEX3[2] set_location_assignment PIN_C19 -to HEX3[3] set_location_assignment PIN_C20 -to HEX3[4] set_location_assignment PIN_D19 -to HEX3[5] set_location_assignment PIN_E17 -to HEX3[6] set_location_assignment PIN_D22 -to HEX3[7] set_location_assignment PIN_F18 -to HEX4[0] set_location_assignment PIN_E20 -to HEX4[1] set_location_assignment PIN_E19 -to HEX4[2] set_location_assignment PIN_J18 -to HEX4[3] set_location_assignment PIN_H19 -to HEX4[4] set_location_assignment PIN_F19 -to HEX4[5] set_location_assignment PIN_F20 -to HEX4[6] set_location_assignment PIN_F17 -to HEX4[7] set_location_assignment PIN_J20 -to HEX5[0] set_location_assignment PIN_K20 -to HEX5[1] set_location_assignment PIN_L18 -to HEX5[2] set_location_assignment PIN_N18 -to HEX5[3] set_location_assignment PIN_M20 -to HEX5[4] set_location_assignment PIN_N19 -to HEX5[5] set_location_assignment PIN_N20 -to HEX5[6] set_location_assignment PIN_L19 -to HEX5[7] #============================================================ # KEY #============================================================ set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[0] set_instance_assignment -name IO_STANDARD "3.3 V SCHMITT TRIGGER" -to KEY[1] set_location_assignment PIN_B8 -to KEY[0] set_location_assignment PIN_A7 -to KEY[1] #============================================================ # LED #============================================================ set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[4] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[5] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[7] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[8] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[9] set_location_assignment PIN_A8 -to LEDR[0] set_location_assignment PIN_A9 -to LEDR[1] set_location_assignment PIN_A10 -to LEDR[2] set_location_assignment PIN_B10 -to LEDR[3] set_location_assignment PIN_D13 -to LEDR[4] set_location_assignment PIN_C13 -to LEDR[5] set_location_assignment PIN_E14 -to LEDR[6] set_location_assignment PIN_D14 -to LEDR[7] set_location_assignment PIN_A11 -to LEDR[8] set_location_assignment PIN_B11 -to LEDR[9] #============================================================ # SW #============================================================ set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9] set_location_assignment PIN_C10 -to SW[0] set_location_assignment PIN_C11 -to SW[1] set_location_assignment PIN_D12 -to SW[2] set_location_assignment PIN_C12 -to SW[3] set_location_assignment PIN_A12 -to SW[4] set_location_assignment PIN_B12 -to SW[5] set_location_assignment PIN_A13 -to SW[6] set_location_assignment PIN_A14 -to SW[7] set_location_assignment PIN_B14 -to SW[8] set_location_assignment PIN_F15 -to SW[9] #============================================================ # Arduino Header Used in E2 CAS Lab #============================================================ set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to NEOPIXEL set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CLK set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_DOUT set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CS set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PWM_OUT set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DAC_CS set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DAC_SCK set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DAC_SDI set_location_assignment PIN_AB17 -to NEOPIXEL set_location_assignment PIN_AA12 -to ADC_CLK set_location_assignment PIN_AA11 -to ADC_DOUT set_location_assignment PIN_Y10 -to ADC_CS set_location_assignment PIN_AB5 -to PWM_OUT set_location_assignment PIN_AA19 -to DAC_CS set_location_assignment PIN_Y19 -to DAC_SCK set_location_assignment PIN_AB20 -to DAC_SDI